Coherence Attacks and Countermeasures in Interposer-based Chiplet Systems

C. Williams, P. Gratz
Texas A&M University, Texas, United States

Keywords: Hardware Trojans, Coherence Attacks, Interposer Technology, System Resilience, Chiplets.

Industry is moving towards large-scale hardware systems which bundle multiple components together via 2.5D integration. These components are fabricated separately as chiplets and integrated using an interposer as an interconnect carrier. This design style is beneficial with respect to yield and economies of scale. However, this approach comes with new security challenges as the chiplets may come from untrusted or not fully trusted third-party vendors. We explore these challenges for modern interposer-based systems of cache-coherent, multicore chiplets. First, we present basic coherence-oriented hardware Trojan attacks that pose a significant threat to chiplet-based designs and demonstrate how these basic attacks can be orchestrated to pose a significant threat to interposer-based systems. Second, we propose a novel scheme using an active interposer as a generic, secure-by-construction platform that forms a physical root of trust for modern 2.5D systems. The implementation of our scheme is confined to the interposer, resulting in little cost and leaving the chiplets and coherence system untouched. Third, we seek to enhance system resiliency by enabling mission-critical systems to finish execution even in the presence of a hardware Trojan.